Altera DDIO_OUT功能
标签:
alterddio杂谈 |
分类: fpga |
1、 MegaWizard
http://s13/middle/732786b3ta6ae557d335c&690DDIO_OUT功能" TITLE="Altera
2、 仿真
http://s12/middle/732786b3ta6ae558b14cb&690DDIO_OUT功能" TITLE="Altera
上升沿锁存两个输入数据,然后分别在上升沿、下降沿输出。
仿真代码:
`timescale 1 ns / 1 ps
module tb();
reg
reg
reg
wire
ddio_test
always
begin
outclock_sig
#5;
outclock_sig
#5;
end
always@(posedge outclock_sig)
begin
datain_h_sig <= datain_h_sig + 1'b1;
datain_l_sig
end
endmodule
tcl脚本:
global env
if ![info exists env(QUARTUS_ROOTDIR)] {
}
set q_sim_lib [file join $env(QUARTUS_ROOTDIR) eda sim_lib]
quit -sim
vlib altera_mf
vmap altera_mf altera_mf
vlog -work altera_mf [file join $q_sim_lib altera_mf.v]
vlib work
vmap work work
vlog ddio_test.v
vlog tb.v
vsim -L altera_mf -novopt -t ns work.tb
log -r /*
run 1 us

加载中…