加载中…
个人资料
  • 博客等级:
  • 博客积分:
  • 博客访问:
  • 关注人气:
  • 获赠金笔:0支
  • 赠出金笔:0支
  • 荣誉徽章:
正文 字体大小:

Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验

(2017-03-07 18:43:58)
标签:

fpga

初学

电子

vhdl

led闪烁实验

分类: FPGA
本人只是一个初学者,如有错误的地方还请指出。只是想记录一下学习过程。高手勿喷!
1、打开Quartus II 15.0;点击File→New Projectwizard..→
http://s6/mw690/00160HGkzy79jW9pKmhe5&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
2、下一步
http://s12/mw690/00160HGkzy79jWovTirdb&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
3、填写工程路径及工程名字 (注意名字一般保持相同)
http://s13/mw690/00160HGkzy79jWqlRsUcc&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
4、下一步
http://s7/mw690/00160HGkzy79jWvRSdw66&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
5、下一步
http://s7/mw690/00160HGkzy79jWxT5z0e6&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
6、选择器件(以实际器件为准)
http://s9/mw690/00160HGkzy79jWA1rjG68&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
7、下一步
http://s14/mw690/00160HGkzy79jWDJbTn9d&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
8、完成
http://s11/mw690/00160HGkzy79jWEVCdA9a&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
9、新建一个VHDL文件
http://s7/mw690/00160HGkzy79jWLaOr4f6&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
10、输入VHDL语言 代码
---------------------------------------------------------------------------------------------------------------
--文件名: LED
--功能  :使一个LED以0.5S闪烁
---------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
---------------------------------------------------------------------------------------------------------------
entity LED is 
port(
clk,rst :in std_logic;
LED1 :out std_logic
);
end LED;
---------------------------------------------------------------------------------------------------------------
architecture behave of LED is 
signal cnt:std_logic_vector(31 downto 0); 
signal led_temp:std_logic;
begin 
process(clk,rst)
begin
if rst='0' then 
cnt<=(others=>'0');
elsif rising_edge(clk) then 
if cnt=x"01312D00" then --40MHz
led_temp<=not led_temp;
cnt<=(others=>'0');
else
cnt<=cnt + 1;
end if;
end if;
end process;
LED1<=led_temp;
end behave ;
---------------------------------------------------------------------------------------------------------------
11、编译(提示保存时输入工程名即可,这里为LED);
点击引脚绑定
选择下载设备(这里一般要安装驱动)D:\altera\15.0\quartus\drivers\usb-blaster或者 D:\altera\15.0\quartus\drivers\usb-blaster-ii目录中(一般安装时自带的有,只需添加即可)
下载
http://s4/mw690/00160HGkzy79jX95W39f3&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
http://s8/mw690/00160HGkzy79jXu93bF57&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
http://s10/mw690/00160HGkzy79jXC8osh39&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />

http://s11/mw690/00160HGkzy79jXYDqyu2a&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />
http://s14/mw690/00160HGkzy79jYqgKst7d&690II 15.0 新建工程 之VHDL语言 LED闪烁实验" TITLE="Quartus II 15.0 新建工程 之VHDL语言 LED闪烁实验" />









0

阅读 收藏 喜欢 打印举报/Report
  

新浪BLOG意见反馈留言板 欢迎批评指正

新浪简介 | About Sina | 广告服务 | 联系我们 | 招聘信息 | 网站律师 | SINA English | 产品答疑

新浪公司 版权所有